Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("MICROCODE")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 40

  • Page / 2
Export

Selection :

  • and

Special purpose hardware for discrete Fourier transform implementationCONNER, M; TOLIMIERI, R.Parallel computing. 1994, Vol 20, Num 2, pp 215-232, issn 0167-8191Article

Two complementary approaches for microcode bit optimizationIN-CHEOL PARK; SE-KYOUNG HONG; CHONG-MIN KYUNG et al.IEEE transactions on computers. 1994, Vol 43, Num 2, pp 234-239, issn 0018-9340Article

Technical issues in software copyright from a New Zealand viewpointMOON, K. R.Special issue. Australia and New Zealand. Computer Law and Practice. 1991, Vol 7, Num 3, pp 156-161Book Chapter

Microprogram simulation using a structured microcode modelMEZZALAMA, M; PRINETTO, P.Microprocessing and microprogramming. 1984, Vol 13, Num 5, pp 299-314, issn 0165-6074Article

A preliminary evaluation of trace scheduling for global microcode compactionGRISHMAN, R; SU BOGONG.IEEE transactions on computers. 1983, Vol 32, Num 12, pp 1191-1194, issn 0018-9340Article

Microcode compaction: extending the boundariesLANDSKOV, D; FISHER, J. A; SHRIVER, B. D et al.International journal of computer & information sciences. 1984, Vol 13, Num 1, pp 1-21, issn 0091-7036Article

On using micro-code to enhance compiler portabilityCOOPER, R. E. M.Microprocessing and microprogramming. 1984, Vol 13, Num 4, pp 249-253, issn 0165-6074Article

A FAST DIVISION TECHNIQUE FOR CONSTANT DIVISORS.ARTZY E; HINDS JA; SAAL HJ et al.1976; COMMUNIC. A.C.M.; U.S.A.; DA. 1976; VOL. 19; NO 2; PP. 98-101; BIBL. 5 REF.Article

An interactive software system for microcode developmentCHARLTON, C. C; ELLIOTT, D; LENG, P. H et al.Microprocessing and microprogramming. 1984, Vol 13, Num 2, pp 105-114, issn 0165-6074Article

Generalization of knowledge base in the expert system for microprogrammingSHIMIZU, T; SAKAMURA, K.Systems, computers, controls. 1984, Vol 15, Num 1, pp 20-29, issn 0096-8765Article

A microcode compiler for the watch-oriented RISC processorISELI, C.Software, practice & experience. 1990, Vol 20, Num 7, pp 729-747, issn 0038-0644, 19 p.Article

MICROCODE INCREASES MINICOMPUTER PROCESSING CAPABILITY.BUCHWALD S.1977; COMPUTER DESIGN; U.S.A.; DA. 1977; VOL. 16; NO 10; PP. 91-99; BIBL. 2 REF.Article

Evolution of processor microcodeJACKSON, David.IEEE transactions on evolutionary computation. 2005, Vol 9, Num 1, pp 44-54, issn 1089-778X, 11 p.Article

Global microcode compaction! A performance evaluation by simulationUPENDRA RAO, S; MAJUMDAR, A. K.Microprocessing and microprogramming. 1988, Vol 22, Num 3, pp 159-174, issn 0165-6074Article

Technical note. Approach for register allocation in microprogram generationLUQUE, E; RIiPOLL, A.IEE proceedings. Part E. Computers and digital techniques. 1984, Vol 131, Num 3, pp 99-101, issn 0143-7062Article

STRUCTURED PROGRAMMING TECHNIQUES IN INTERRUPT-DRIVER ROUTINESPALMER PF.1979; I.C.L. TECH. J.; GBR; DA. 1979; VOL. 1; NO 3; PP. 247-264Article

MODERN MINI COMPUTERS. ICULLER G.sdAUTUMN COLLEGE ON PLASMA PHYSICS/1979/MIRAMARE; ITA; MIRAMARE: INTERNATIONAL CENT. THEORET. PHYS.; DA. S.D.; VOL. 21; 12 P.Conference Paper

MICROPROGRAMMING TECHNIQUES.1975; IN: WORKSHOP MICROARCHIT. COMPUT. SYST. PREPR.; NICE; 1975; AMSTERDAM; NORTH-HOLLAND; DA. 1975; PP. 25-52; BIBL. DISSEM.Conference Paper

An instruction fetch unit for a high-performance personal computerLAMPSON, B. W; MCDANIEL, G; ORNSTEIN, S. M et al.IEEE transactions on computers. 1984, Vol 33, Num 8, pp 712-730, issn 0018-9340Article

A RETARGETABLE MICROCODE GENERATION SYSTEM FOR A HIGH-LEVEL MICROPROGRAMMING LANGUAGEMARWEDEL P.1981; MICRO 14. ANNUAL MICROPROGRAMMING WORKSHOP. 14/1981-10-12/CHATHAM MA; USA; NEW YORK: IEEE; DA. 1981; PP. 115-123; BIBL. 18 REF.Conference Paper

DESIGNING AND SUPPORTING HMO, AN INTEGRATED HARDWARE MICROCODE OPTIMIZER.BONDI JO; STIGALL PD.1976; COMPUTERS ELECTR. ENGNG; G.B.; DA. 1976; VOL. 3; NO 3; PP. 319-337; BIBL. 24 REF.Article

MICROPROGRAMMED PROCESSOR ORGANIZATIONS.1975; IN: WORKSHOP MICROARCHIT. COMPUT. SYST. PREPR.; NICE; 1975; AMSTERDAM; NORTH-HOLLAND; DA. 1975; PP. 181-200; BIBL. DISSEM.Conference Paper

Microcode optimization with neural networksBHARITKAR, S; TSUCHIYA, K; TAKEFUJI, Y et al.IEEE transactions on neural networks. 1999, Vol 10, Num 3, pp 698-703, issn 1045-9227Article

Measuring the parallelism available for very long instruction word architecturesNICOLAU, A; FISHER, J. A.IEEE transactions on computers. 1984, Vol 33, Num 11, pp 968-976, issn 0018-9340Article

CONSIDERATIONS FOR LOCAL COMPACTION OF NANOCODE FOR THE NANODATA QM-1RIDEOUT DJ.1981; MICRO 14. ANNUAL MICROPROGRAMMING WORKSHOP. 14/1981-10-12/CHATHAM MA; USA; NEW YORK: IEEE; DA. 1981; PP. 205-214; BIBL. 22 REF.Conference Paper

  • Page / 2